Main Content

Supported EDA Tools and Hardware

Tool Support

Use this support package with:

  • Intel® Quartus® Prime Standard 22.1

  • Intel Quartus Pro 22.4

For tool setup instructions, see hdlsetuptoolpath.

See also HDL Language Support and Supported Third-Party Tools and Hardware.

Board Support

When you install the HDL Coder™ Support Package for Intel FPGA and SoC Devices, you can target these boards:

Device FamilyBoardAvailable Reference Designs

Arria® 10 SoC

Intel Arria 10 SoC development kit

Default System

Default system with External DDR4 Memory Access

Cyclone® V

Cyclone V SoC development kit - Rev.C

Default System

Cyclone V SoC development kit - Rev.D

Arrow SoCKit development board

Arria 10

Arria 10 GX FPGA development kit

PCIe AXI Manager with External DDR4 Memory Access (Requires HDL Verifier)

JTAG AXI Manager with External DDR4 Memory Access (Requires HDL Verifier)

Intel MAX® 10

Arrow® DECA MAX 10 FPGA evaluation kit

Default System

AXI Manager - Ethernet (Requires HDL Verifier) (HDL Verifier)

Note

To extend support to new hardware, see Create a Custom Hardware Platform.

You can add support for custom boards. For more information, see Board and Reference Design Registration System.

Related Topics