Main Content

Generate HDL code

Enable or disable HDL code generation for model or Subsystem

Model Configuration Pane: Global Settings / Advanced

Description

Enable or disable HDL code generation for the model or Subsystem. To specify the Subsystem that you want to generate HDL code for, use the Generate HDL for parameter. Then, click the Generate button in the HDL Code Generation pane. By default, the HDL code is generated in VHDL language and put into the hdlsrc folder.

Settings

on (default) | off

Default: On

on

Select this setting to generate HDL code.

off

When you clear this setting, you cannot generate HDL code for the model.

Tips

To set this property, use the functions hdlset_param or makehdl. To view the property value, use the function hdlget_param.

By default, the GenerateHDLCode property is selected. To generate code, use the makehdl function. For example, this command generates HDL code for the symmetric_fir subsystem inside the sfir_fixed model.

makehdl('sfir_fixed/symmetric_fir')

Recommended Settings

No recommended settings.

Programmatic Use

Parameter: GenerateHDLCode
Type: character vector
Value: 'on' | 'off'
Default: 'on'

Version History

Introduced in R2012a