Main Content

Pipeline postfix

Text to append to names of input or output pipeline registers

Model Configuration Pane: Global Settings / General

Description

Specify the postfix as a character vector to append to names of input or output pipeline registers generated for pipelined block implementations.

Settings

'_pipe' (default) | character vector

Default: '_pipe'

You can specify a generation of input and/or output pipeline registers for selected blocks. The Pipeline postfix option defines a character vector that HDL Coder™ appends to names of input or output pipeline registers when generating code.

Tips

To set this property, use the functions hdlset_param or makehdl. To view the property value, use the function hdlget_param.

Suppose you specify a pipelined output implementation for a Product block in a model, as in the following code:

 hdlset_param('sfir_fixed/symmetric_fir/Product','OutputPipeline', 2)

To append a postfix 'testpipe' to the generated pipeline register names, use either of these methods:

  • Pass the property as an argument to the makehdl function.

    makehdl(gcb,'PipelinePostfix','testpipe')
  • When you use hdlset_param, you can set the parameter on the model and then generate HDL code using makehdl.

    hdlset_param(gcs,'PipelinePostfix','testpipe')
    makehdl('myDUT')

The following excerpt from generated VHDL® code shows process the PROCESS code, with postfixed identifiers, that implements two pipeline stages:

Product_outtestpipe_process : PROCESS (clk, reset)
  BEGIN
    IF reset = '1' THEN
      Product_outtestpipe_reg <= (OTHERS => to_signed(0, 33));
    ELSIF clk'EVENT AND clk = '1' THEN
      IF enb = '1' THEN
        Product_outtestpipe_reg(0) <= Product_out1;
        Product_outtestpipe_reg(1) <= Product_outtestpipe_reg(0);
      END IF;
    END IF;
  END PROCESS Product_outtestpipe_process;

Recommended Settings

No recommended settings.

Programmatic Use

Parameter: PipelinePostfix
Type: character vector
Default: '_pipe'

Version History

Introduced in R2012a