problem with simulation tool for HDL Coder when 'cosimulation model' and 'SystemVerilog DPI test bench' is selected

1 view (last 30 days)
I have read hdlcoder_ug.pdf,and it says that vivado simulator can be used in page 586. However,there are only Modelsim and Candence in HDL Coder Generation Pane,and vivado simulator and VCS are not exsisting.

Answers (1)

Tao Jia
Tao Jia on 2 Nov 2017
We are supporting Vivado simulator in certain scenarios but not all cases. For example, vivado simulator is supported in the MATLAB-to-HDL workflow, but not in the Simulink workflow.
Hope this helps, Tao

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!